Post job

Cadence Design Systems jobs in San Jose, CA - 826 jobs

  • Tech Transactions Counsel - In-Person, San Jose

    Cadence Design Systems 4.7company rating

    Cadence Design Systems job in San Jose, CA

    An innovative technology firm located in San Jose is seeking a driven Legal Counsel to support the commercial legal team. This role involves negotiating technology transactions and collaborating with various internal departments to enhance business growth. Candidates should have 2-4 years of legal experience, exceptional drafting skills, and a collaborative spirit. The position requires in-person work four days a week and offers a salary of $131,600 to $244,400 along with potential bonuses and benefits. #J-18808-Ljbffr
    $131.6k-244.4k yearly 2d ago
  • Job icon imageJob icon image 2

    Looking for a job?

    Let Zippia find it for you.

  • Principal Field Service Engineer

    Cadence Design Systems 4.7company rating

    Cadence Design Systems job in San Jose, CA

    Principal Field Service Engineer page is loaded## Principal Field Service Engineerlocations: SAN JOSEtime type: Full timeposted on: Posted Yesterdaytime left to apply: End Date: December 31, 2026 (30+ days left to apply)job requisition id: R52748## **At Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology.**The Field Service Engineer will install, troubleshoot, and maintain Cadence hardware emulation platforms. This person will serve as the hardware technical representative providing pro-active onsite support at end user customer locations both domestic and international. This person's primary responsibility will be supporting the Atlanta data center but other locations as well in the eastern US. Identifies, analyzes and repairs hardware, firmware, network and other issues. Schedules part replacements and maintenance with customers as needed. Relies on experience and judgment to accomplish goals and ensure customer satisfaction.Reports to San Jose Field Service ManagerLocation: San Jose**Responsibilities:*** Primary contact for all hardware related issues* Planning and Installation of new equipment; reconfiguring existing equipment* Troubleshoot/diagnose and repair hardware and other problems, escalate issues when appropriate* Document all work processes as required* Establish a strong supportive relationship with customers* Inventory control* Work with Field Service team and other Cadence groups to improve processes and procedures**Position Requirements:*** BS with a minimum of 7 years of experience OR MS with a minimum of 5 years of experience* Good written and verbal English communication skills* Minimum working knowledge of Linux/Unix* Strong hardware and software troubleshooting skills* Strong documentation skills* Must be able to lift 40 lbs* US drivers license and passport* Willingness to travel domestically and internationally including for short notice emergency service events**Full training will be provided, however any experience in the following areas would be advantageous:*** Debugging and repair in a board/system level environment.* Experience with liquid cooled systems and associated repairs* Experience with Linux Shell Skills (use grep, sed, awk, find, etc)* Experience with server scripting tools (python, perl, bash, sh, tcsh, csh or some combination)* Experience with networking including installation/configuration of routers, switches and firewalls* Experience with troubleshooting network issues## **We're doing work that matters. Help us solve what others can't.****Equal Employment Opportunity Policy:**Cadence is committed to equal employment opportunity throughout all levels of the organization.We welcome your interest in the company and want to make sure our job site is accessible to all. If you experience difficulty using this site or to request a reasonable accommodation, please contact ********************.**Privacy Policy:**Job Applicant If you are a job seeker creating a profile using our careers website, please see the .E-Verify Cadence participates in theE-Verify program in certain U.S. locations as required by law.Cadence plays a critical role in creating the technologies that modern life depends on. We are a global electronic design automation company, providing software, hardware, and intellectual property to design advanced semiconductor chips that enable our customers create revolutionary products and experiences. Thanks to the outstanding caliber of the Cadence team and the empowering culture that we have cultivated for over 25 years, Cadence continues to be recognized by Fortune Magazine as one of the 100 Best Companies to Work For. Our shared passion for solving the world's toughest technical challenges, our dedication to pushing the limits of the industry, and our drive to do meaningful work differentiates the people of Cadence. Cadence is proud to be an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, sex, age, national origin, religion, sexual orientation, gender identity, status as a veteran, basis of disability, or any other protected class. #J-18808-Ljbffr
    $78k-104k yearly est. 1d ago
  • FPGA Prototyping Toolchain & Regression Validation Lead

    Advanced Micro Devices 4.9company rating

    San Jose, CA job

    WHAT YOU DO AT AMD CHANGES EVERYTHING At AMD, our mission is to build great products that accelerate next-generation computing experiences-from AI and data centers, to PCs, gaming and embedded systems. Grounded in a culture of innovation and collaboration, we believe real progress comes from bold ideas, human ingenuity and a shared passion to create something extraordinary. When you join AMD, you'll discover the real differentiator is our culture. We push the limits of innovation to solve the world's most important challenges-striving for execution excellence, while being direct, humble, collaborative, and inclusive of diverse perspectives. Join us as we shape the future of AI and beyond. Together, we advance your career. THE ROLE: We are seeking an engineer with strong hands‑on experience in FPGA build flows, design qualification, and developing infrastructure for platform regressions. This role is focused on developing robust smoke tests, functional test cases, and qualification workloads used to validate both FPGA designs and the associated platform software/toolchain flows. THE PERSON: The successful candidate will architect and implement daily and nightly regression pipelines, integrate them into a unified infrastructure, and ensure continuous quality and reliability of FPGA based platform design flows at scale. This role sits at the intersection of FPGA engineering, software automation, and infrastructure‑level validation. KEY RESPONSIBILITIES: FPGA Design & Build Flow Own FPGA compile/build flows (synthesis, place & route, timing closure, bitstream generation). Develop and maintain reference FPGA designs for build flow validation. Diagnose issues across RTL, constraints, tools, and platform dependencies. Platform Qualification & Toolchain Validation Develop targeted test cases for FPGA build flows, toolchain stability, and edge cases. Build scripts and automation for software stack validation (drivers, runtimes, APIs). Validate tool and environment changes, partner with vendors and internal teams on root cause and fixes. Define scalable design qualification criteria and coverage. Regression Infrastructure Design and maintain automated daily/nightly regression systems for FPGA and software toolchain validation. Enable distributed, scalable runs across on‑prem or cloud resources. Automate result collection, triage, and failure classification. Infrastructure & CI/CD Integration Integrate FPGA regressions into the unified infrastructure and CI/CD pipelines. Interface with shared services (e.g., build orchestration, monitoring, logging). Enable standardized reporting, dashboards, and notifications for regression health. PREFERRED EXPERIENCE: Large-scale FPGA farm or datacenter‑style validation. Monitoring/logging tools (e.g., Grafana, Prometheus, ELK). Operating unified build/validation infrastructures across multiple teams. Hardware bring‑up or silicon pre‑/post‑silicon validation. Cross‑functional and geographically distributed team collaboration. ACADEMIC CREDENTIALS: Bachelors or Masters degree in computer engineering/Electrical Engineering LOCATION: San Jose, CA This role is not eligible for Visa sponsorship Benefits offered are described: AMD benefits at a glance. AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee‑based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third‑party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants' needs under the respective laws throughout all stages of the recruitment and selection process. #J-18808-Ljbffr
    $118k-160k yearly est. 5d ago
  • Oracle Cloud ERP Financials Lead - Delivery Expert

    IBM Computing 4.7company rating

    San Francisco, CA job

    A global technology firm is seeking an Oracle Cloud ERP Financials Lead Consultant to manage the delivery of projects across the US. You will be responsible for leading project phases, understanding client needs, and building best practices. The ideal candidate has 5-7 years of experience in consulting, strong knowledge of Oracle Cloud Financials, and excellent communication skills. This role is flexible and can be performed from anywhere in the US with an emphasis on collaboration and innovation. #J-18808-Ljbffr
    $105k-144k yearly est. 4d ago
  • Head of Analog IC Design & Innovation

    Lattice Semiconductor 4.6company rating

    San Jose, CA job

    A leading semiconductor company in San Jose is seeking an experienced analog/mixed-signal engineer to drive innovation and lead design efforts. The ideal candidate holds a BS, MS, or PhD in Electrical Engineering with significant experience in circuit design and leadership. Responsibilities include driving roadmap alignment, leading design verification, and mentoring engineers in a fast-paced, collaborative environment. #J-18808-Ljbffr
    $137k-187k yearly est. 1d ago
  • Oracle Cloud ERP Financials Lead

    IBM Computing 4.7company rating

    San Francisco, CA job

    Introduction A career in IBM Consulting is rooted by long-term relationships and close collaboration with clients across the globe. You'll work with visionaries across multiple industries to improve the hybrid cloud and AI journey for the most innovative and valuable companies in the world. Your ability to accelerate impact and make meaningful change for your clients is enabled by our strategic partner ecosystem and our robust technology platforms across the IBM portfolio Your role and responsibilities The Oracle Cloud ERP Financials Lead Consultant is a key member of the Delivery Practice. A Consultant is expected to engage in activities in support of both successful project delivery and business development. These activities include the following: Job Responsibilities Delivery Serve as the Oracle Cloud ERP Financials Lead on projects through the full project life cycle: planning, configuration, design, build, testing, training, go-live and post-production support. Working closely with client teams to understand their needs and offer solutions and design Build up best practices within the Oracle Cloud ERP Financials solutions (e.g. General Ledger, Accounts Payables, Accounts Receivables, Expenses, Cash Management, Fixed Assets) Design and build of reports to support the implementation Pre-sales/Sales Facilitate initial discovery calls - assess and map customer needs to capabilities of the Oracle Cloud Financials applications Support development of Proposals and Statements of Work Conduct demos of Oracle Cloud Financials to prospective customers Stay current with all service offerings - cross application, cross-geography Stay current with Oracle products and offerings - attend conferences, webinars Solution Architecture Working closely with the Delivery Director and Sales Team, design and propose realistic, implementable solutions that incorporate client requirements, software capability and future directions. Keep up with current releases, features and practices and share with team. Act as senior client-facing advisor on designs and best practices. Perform hands-on delivery of workshops, configuration, and other project tasks as necessary. This Job can be Performed from anywhere in the US. Required technical and professional expertise Post-secondary degree from an accredited institution (concentration in Finance or Accounting a plus) 5-7 years of experience as a delivery consultant and at least 3 years' experience as a delivery Lead Demonstrable experience with the Oracle Cloud ERP Financials Solutions Excellent understanding of Financial Reporting requirements and practices Experience with reporting tools (FRS, Smartview, OTBI) Advanced knowledge and experience of MS Office (Word, PowerPoint, Excel) Ability to work both as part of a team and independently with minimal instructions. Excellent communication and presentation skills - verbal and written. Strong time management and prioritization skills Attention to detail. Preferred technical and professional experience Excellent communication and presentation skills - verbal and written. You should be able to translate technical concepts and designs into non-technical language. IBM is committed to creating a diverse environment and is proud to be an equal-opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $97k-125k yearly est. 4d ago
  • Senior GPU Training Performance Engineer

    Advanced Micro Devices 4.9company rating

    San Jose, CA job

    A leading technology company is seeking a Principal / Senior GPU Software Performance Engineer in San Jose, CA. This role involves optimizing GPU workloads for training performance, enhancing throughput, and resolving bottlenecks in distributed systems. The ideal candidate will have strong skills in GPU performance engineering and experience with deep learning frameworks, particularly PyTorch. This position offers a chance to work in a collaborative environment focused on innovation and inclusivity. #J-18808-Ljbffr
    $137k-178k yearly est. 4d ago
  • Staff Silicon Design Verification Engineer

    Advanced Micro Devices 4.9company rating

    San Jose, CA job

    WHAT YOU DO AT AMD CHANGES EVERYTHING At AMD, our mission is to build great products that accelerate next‑generation computing experiences-from AI and data centers, to PCs, gaming and embedded systems. Grounded in a culture of innovation and collaboration, we believe real progress comes from bold ideas, human ingenuity and a shared passion to create something extraordinary. When you join AMD, you'll discover the real differentiator is our culture. We push the limits of innovation to solve the world's most important challenges-striving for execution excellence, while being direct, humble, collaborative, and inclusive of diverse perspectives. Join us as we shape the future of AI and beyond. Together, we advance your career. THE ROLE Adaptive and Embedded Computing Group (AECG) seeks a Staff Silicon Design Verification Engineer to provide technical leadership and expertise in the verification of high‑speed Crypto, Network‑on‑Chip (NoC), and cutting‑edge DRAM Memory Controller IPs (LPDDR6, HBM4). You will be responsible for architecting, developing, and utilizing simulation and/or formal‑based verification environments at both block and SoC‑level to achieve first‑pass silicon success. THE PERSON The ideal candidate has a proven track record in driving strategies and successfully executing verification strategies for Pre‑Silicon Design IP and/or SOC designs. They should be strong team players with excellent communication and leadership skills, capable of positively and strategically influencing design teams to improve overall product quality. Key Responsibilities Lead the verification of high‑speed Crypto, Network‑on‑Chip (NoC), cutting‑edge DRAM Memory controller (LPDDR6, HBM4) designs, ensuring the highest standards of quality and performance. Architect, develop, and use simulation and/or formal‑based verification environments at IP and SoC‑level. Lead and manage verification teams, including planning, execution, tracking, verification closure, and delivery to programs. Develop and execute comprehensive verification plans, including testbenches and test cases. Collaborate with design, architecture, and software teams to define and implement verification strategies. Utilize advanced verification methodologies, including UVM, formal verification, and assertion‑based verification. Mentor and guide junior engineers, fostering a collaborative and innovative team environment. Preferred Experience Proven track record in technical leadership of teams with 5+ engineers. This includes planning, execution, tracking, verification closure, and delivery to programs. Experience with development of UVM and System Verilog test benches and usage of simulation tools/debug environments such as Synopsys VCS or Cadence Xcelium. Strong understanding of state of the art of verification techniques, including assertion and metric‑driven verification. Experience as a verification architect, establishing the verification methodology, tools and infrastructure for high‑performance IP and/or VLSI designs is a plus. Familiarity with verification management tools as well as an understanding of database management particularly as it pertains to regression management. Experience with formal property checking tools such as VC Formal (Synopsys), JasperGold (Cadence), and Questa Formal (Mentor) is a plus. Experience with gate‑level simulation, power‑aware verification is a plus. Experience with silicon debug at the tester and board level, is a plus. Academic Credentials BS, MS or PhD in Electrical Engineering, Computer Engineering or Computer Science. This role is not eligible for visa sponsorship. #LI-CJ2 Benefits offered are described: AMD benefits at a glance. AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee‑based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third‑party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants' needs under the respective laws throughout all stages of the recruitment and selection process. AMD may use Artificial Intelligence to help screen, assess or select applicants for this position. AMD's “Responsible AI Policy” is available here. This posting is for an existing vacancy. #J-18808-Ljbffr
    $118k-158k yearly est. 4d ago
  • Workday Payroll Consultant

    IBM 4.7company rating

    San Francisco, CA job

    A career in IBM Consulting is rooted by long-term relationships and close collaboration with clients across the globe. You'll work with visionaries across multiple industries to improve the hybrid cloud and AI journey for the most innovative and valuable companies in the world. Your ability to accelerate impact and make meaningful change for your clients is enabled by our strategic partner ecosystem and our robust technology platforms across the IBM portfolio Your role and responsibilities Configure Workday Payroll setup including pay components, tax, and compliance rules per Workday methodology Integrate payroll with HR and time tracking systems. Conduct parallel and validation testing. Support go-live and post-launch stabilization. Maintain compliance with tax and regulatory changes. This job can be performed from anywhere in the US. Required education Bachelor's Degree Required technical and professional expertise Bachelor's in Accounting, HR, or Business. 5 - 7 years of payroll system experience, with 3+ years in Workday Payroll. Knowledge of tax and compliance requirements. Strong analytical and audit capabilities. Preferred technical and professional experience Government and/ or K-12 experience preferred ABOUT BUSINESS UNIT IBM Consulting is IBM's consulting and global professional services business, with market leading capabilities in business and technology transformation. With deep expertise in many industries, we offer strategy, experience, technology, and operations services to many of the most innovative and valuable companies in the world. Our people are focused on accelerating our clients' businesses through the power of collaboration. We believe in the power of technology responsibly used to help people, partners and the planet. YOUR LIFE @ IBM In a world where technology never stands still, we understand that, dedication to our clients success, innovation that matters, and trust and personal responsibility in all our relationships, lives in what we do as IBMers as we strive to be the catalyst that makes the world work better. Being an IBMer means you'll be able to learn and develop yourself and your career, you'll be encouraged to be courageous and experiment everyday, all whilst having continuous trust and support in an environment where everyone can thrive whatever their personal or professional background. Our IBMers are growth minded, always staying curious, open to feedback and learning new information and skills to constantly transform themselves and our company. They are trusted to provide on-going feedback to help other IBMers grow, as well as collaborate with colleagues keeping in mind a team focused approach to include different perspectives to drive exceptional outcomes for our customers. The courage our IBMers have to make critical decisions everyday is essential to IBM becoming the catalyst for progress, always embracing challenges with resources they have to hand, a can-do attitude and always striving for an outcome focused approach within everything that they do. Are you ready to be an IBMer? ABOUT IBM IBM's greatest invention is the IBMer. We believe that through the application of intelligence, reason and science, we can improve business, society and the human condition, bringing the power of an open hybrid cloud and AI strategy to life for our clients and partners around the world. Restlessly reinventing since 1911, we are not only one of the largest corporate organizations in the world, we're also one of the biggest technology and consulting employers, with many of the Fortune 500 companies relying on the IBM Cloud to run their business. At IBM, we pride ourselves on being an early adopter of artificial intelligence, quantum computing and blockchain. Now it's time for you to join us on our journey to being a responsible technology innovator and a force for good in the world. IBM is proud to be an equal‑opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, genetics, pregnancy, disability, neurodivergence, age, or other characteristics protected by the applicable law. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. OTHER RELEVANT JOB DETAILS IBM will not be providing visa sponsorship for this position now or in the future. Therefore, in order to be considered for this position, you must have the ability to work without a need for current or future visa sponsorship. The compensation range and benefits for this position are based on a full‑time schedule for a full calendar year. The salary will vary depending on your job‑related skills, experience and location. Pay increment and frequency of pay will be in accordance with employment classification and applicable laws. For part‑time roles, your compensation and benefits will be adjusted to reflect your hours. Benefits may be pro‑rated for those who start working during the calendar year. Work arrangement: Hybrid (up to 60% or 3 days a week; home on weekends - based on project requirements) Projected Minimum Salary per year: 147,000.00 Projected Maximum Salary per year: 254,000.00 Location: United States (Job can be performed from anywhere in the US) #J-18808-Ljbffr
    $86k-110k yearly est. 4d ago
  • Technical Sales Engineer - Hashicorp

    IBM Computing 4.7company rating

    San Francisco, CA job

    Introduction A career in IBM Software means you'll be part of a team that transforms our customer's challenges into industry-leading solutions. We are an infinitely curious team, always seeking new possibilities, and dedicated to creating the world's leading AI-powered, cloud-native software solutions. Our renowned legacy creates endless global opportunities for our network of IBMers. We are a team of deep product experts, ensuring exceptional client experiences, with a focus on delivery, excellence, and obsession over customer outcomes. This position involves contributing to HashiCorp's offerings, now part of IBM, which empower organizations to automate and secure multi-cloud and hybrid environments. You will join a team managing the lifecycle of infrastructure and security, enhancing IBM's cloud solutions to ensure enterprises achieve efficiency, security, and scalability in their cloud journey. Your role and responsibilities The Solutions Engineering team serves as the primary technical resource and internal advocate for all Customers at HashiCorp. Through consultative engagement, we identify our Customers' challenges and business requirements, leveraging our product expertise to propose solutions that meet their needs. As trusted technical advisors, we work closely with our Customers to help them achieve their target outcomes and realize the full value of the HashiCorp solutions they've implemented. What you'll do (responsibilities) As a Solutions Engineer at HashiCorp, you will partner closely with one or more Sales Executives and support the entire Customer journey. This includes pipeline creation, achieving technical wins, onboarding, driving consumption, and ensuring successful renewals. Your expertise and guidance will be crucial in helping Customers unlock the full potential of our solutions and achieve their desired business outcomes. In this role you will be expected to: Serve as Trusted Advisor: You will act as a trusted advisor to aligned accounts, technical teams, and business stakeholders throughout the customer lifecycle. Exhibit Strong Communication Skills: You will influence decisions through effective presentations, demos, technical engagements, and workshops, showcasing your strong communication skills. Understand the Customer's Journey: You will guide customers through a value realization journey aligned to their strategic priorities, designing technical and business-focused solutions that drive adoption and growth. Prove Business Value: You will demonstrate the business value of HashiCorp solutions in solving the customer's business problems and driving their target outcomes. Contribute to Customer Success: You will regularly participate in activities such as customer QBRs, cadence calls, customer office hours, and advanced roadmap sessions. Drive Expansion and Adoption: You will seek to drive expansion within current product lines and promote the adoption of multi-product integrated solutions. Partner with Cross-Functional Teams: You will collaborate with teams such as Solutions Architecture, Customer Success, Professional Services, Product Management, and Engineering to ensure success throughout the customer journey. Provide Authoritative Guidance: You will offer authoritative guidance on DevOps concepts, leveraging your strong skills in infrastructure architecture, cloud, IT operations, security, and development technologies and processes. Maintain Technology Expertise: You will establish and maintain a deep understanding of the HashiCorp portfolio, the partner ecosystem, and the competitive landscape. Required technical and professional expertise Experience: 4+ years in a Technical Pre-Sales or Sales Engineering role, or equivalent experience - practitioners are appreciated as well. Technical Expertise: Proficiency in understanding concepts and technologies in DevOps, IT operations, security, cloud, microservices, containers, and scheduling platforms. Customer Engagement: Proven experience with implementing software products or solutions in large and dynamic enterprise companies. Communication Skills: Excellent verbal and written communication skills, with the ability to effectively convey complex technical concepts to diverse audiences. Problem-Solving Skills: Demonstrated ability to analyze complex technical problems and develop innovative solutions. Collaboration: Experience working well in a highly dynamic team environment that focuses on providing above industry standard customer service. Proven ability to work cross-functionally with teams such as Product Management, Engineering, and Customer Success. Travel: Ability to travel up to 25%, with domestic and occasional international travel required. Preferred technical and professional experience Knowledge of HashiCorp Tools: Knowledge of, and experience with, any of the tools in the HashiCorp portfolio. Technical Certifications: Relevant technical certifications (e.g., AWS, Azure, Google Cloud, DevOps) are a plus. Education: Bachelor's degree in Computer Science, Engineering, Information Technology, or a related field, or equivalent practical experience. IBM is committed to creating a diverse environment and is proud to be an equal-opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $110k-148k yearly est. 4d ago
  • Senior Manager Finance for CEAI

    Advanced Micro Devices 4.9company rating

    Santa Clara, CA job

    WHAT YOU DO AT AMD CHANGES EVERYTHING At AMD, our mission is to build great products that accelerate next-generation computing experiences-from AI and data centers, to PCs, gaming and embedded systems. Grounded in a culture of innovation and collaboration, we believe real progress comes from bold ideas, human ingenuity and a shared passion to create something extraordinary. When you join AMD, you'll discover the real differentiator is our culture. We push the limits of innovation to solve the world's most important challenges-striving for execution excellence, while being direct, humble, collaborative, and inclusive of diverse perspectives. Join us as we shape the future of AI and beyond.Together, we advance your career. THE ROLE: This Senior Manager role is a high-visibility position within AMD's Finance organization located in Austin, TX.This role is critical to the success of the business and reports directly to Senior Director, Compute & Enterprise AI Business Unit Finance. The role will be supporting the Enterprise AI and HPC group. Key responsibilities include partnering with the business to ensure performance obligations and strategic deals while maximizing return on investment. This role will perform strategic financial analyses and provide necessary guidance (accounting and economic feasibility) and executive reporting (e.g., pricing, strategic deal financials, product business case / P&L etc.) to influence business decisions. The role will work cross-functionally with BU, finance counterparts, technical accounting, and legal. THE PERSON: The ideal candidate should have a bias for action, high sense of teamwork, and ability to speak up and make recommendations in a fast-moving environment. Additionally, we are looking for a teammate with leadership experience that will take ownership of the role, drive process improvements, and implement standardized work. Role requires someone with capability to grow within the position and in the future, rotate to Finance leadership positions within the Company. KEY RESPONSIBILITIES: Serve as the Finance lead for AMD's Enterprise AI and HPC business unit Directly support the business unit General Manager and leadership team Engage/Lead core finance processes including Long-range planning, Annual Operating Plans, and intra-quarter Outlooks Perform financial analysis and provide decision analysis support for customer programs and special projects Coordinate with and provide operational finance guidance to various organizations and individuals across cross-functional teams such as Corporate Financial Planning, Accounting, Sales & Regional Finance, and Business Unit Operations Prepare and disseminate timely and accurate financial information to allow the business to plan, forecast, and make decisions using controlled and consistent data Take full ownership of and accountability for on-going reports providing validation and supporting documentation to business partners as required Perform scenario analysis & planning to be used for decision making and approvals (e.g. pricing deals, revenue & gross margin analysis etc.). Identify potential opportunities for process improvement to existing processes and cadence Assist in providing value-added management decision support, driving key business goals, identify major trends and corresponding business issues PREFERRED EXPERIENCE: Self-starter with excellent interpersonal communication, teaming, and problem-solving skills Demonstrated financial leadership effectively supporting Sales and/or Business leaders in a high-growth environment Preferred experience in a global fast-paced competitive multi-site high-tech environment Must be able to thrive in a fast-paced dynamic environment Robust analytical skills required and the ability to turn complex data and concepts into valuable information to present in a simple/understandable manner Eager to learn and adapt to new things in the fast paced and high-pressured environment Enthusiastic, proactive, and dynamic individual with ability to work in teams and with all levels of an organization as well as work independently Proficiency in Excel, AI tools, MSFT Co-Pilot, and ERP systems experience (SAP, SAP HANA) ACADEMIC CREDENTIALS: Bachelor's degree in finance, accounting or equivalent MBA preferred LOCATION: Santa Clara, CA This role is not eligible for visa sponsorship. #LI-SD1 #LI-HYBRID Benefits offered are described: AMD benefits at a glance. AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee-based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third-party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants' needs under the respective laws throughout all stages of the recruitment and selection process. #J-18808-Ljbffr
    $134k-182k yearly est. 3d ago
  • Senior Corporate Counsel, Government & Export Controls

    Cadence Design Systems 4.7company rating

    Cadence Design Systems job in San Jose, CA

    A prominent technology firm in San Jose is seeking a Director & Sr Corporate Counsel specializing in government and trade compliance. The role involves supporting U.S. export control laws, conducting investigations, and collaborating with teams across the company. The ideal candidate has over 5 years of legal experience, especially in technology, and holds a J.D. degree. The position offers a competitive salary range and various benefits. #J-18808-Ljbffr
    $174k-255k yearly est. 4d ago
  • 3D/2.5D IC Layout Engineer - Power & Interconnects

    Broadcom Inc. 4.8company rating

    San Jose, CA job

    A leading technology firm in San Jose is seeking a Physical Design Engineer to work on advanced ASIC products. Responsibilities include the design layout implementation of power grids and interconnects, alongside DRC and electrical checks. The ideal candidate has strong scripting skills and extensive experience in electrical engineering. This role offers a competitive salary range of $120,000 - $192,000 with a comprehensive benefits package including 401(K) matching. #J-18808-Ljbffr
    $120k-192k yearly 3d ago
  • Senior Managing SAP Consultant Work Management/Service Management

    IBM Computing 4.7company rating

    San Francisco, CA job

    Introduction A career at IBM Consulting is rooted in long-term relationships and close collaboration with clients across the globe. You'll work with visionaries across multiple industries to improve the hybrid cloud and AI journey for the most innovative and valuable companies in the world. Your ability to accelerate impact and make meaningful change for your clients is enabled by our strategic partner ecosystem and our robust technology platforms across the IBM portfolio, including Software and Red Hat. Curiosity and a constant quest for knowledge serve as the foundation to success in IBM Consulting. In your role, you'll be encouraged to challenge the norm, investigate ideas outside of your role, and come up with creative solutions resulting in groundbreaking impact for a wide network of clients. Our culture of evolution and empathy centers on long‑term career growth and development opportunities in an environment that embraces your unique skills and experience. Your role and responsibilities As a senior managing SAP consultant, you will serve as a client‑facing practitioner responsible for selling, leading, managing, and implementing offerings with a deep understanding of finance business processes and SAP systems. As a trusted business advisor who collaborates to provide business outcomes and innovative solutions for solving challenging business problems. You will work on projects that assist clients in integrating strategy, process, technology, and information to enhance effectiveness, reduce costs, and improve profit and shareholder value. Participate in business development activities and contribute to proposal development. There are opportunities for you to acquire new skills, work across different disciplines, take on new challenges, and develop a comprehensive understanding of various industries. Your primary responsibilities include: Strategic SAP Solution Leadership: Leading the technical design, development, and implementation of business processes and SAP solutions for simplicity, amplification, and maintainability that meet client needs. Team Delivery leadership: Leading and managing high‑performing teams of SAP consultants to deliver work products on time, budget, and quality. Comprehensive Solution Delivery: Involvement in strategy development and solution implementation, leveraging your customer process and SAP expertise with clients and team members and working with the latest technologies with industry best practices applied. This Job can be Performed from anywhere in the US. Required technical and professional expertise Professional Expertise with SAP S/4HANA: Hands‑on SAP expertise in SAP S/4HANA ISU‑Work Management/Device Management with multiple commodities (e.g., electric, gas, water, wastewater), and with knowledge of the other ISU processes (Customer Experience, FICA, Billing) with a minimum of 5 end‑to‑end implementations (four specifically in the Energy and Utilities Industry) from project preparation to go‑live as a Management Consultant or Solution Architect. Specifics: Solution design of work/device/service management financial/accounting and collection processes and procedures. Deep knowledge of the technical and business master data and the integration points that enable the IS‑U data model. Extensive experience in the batch job processing chains and exceptions management process. SAP Expert Level Process Knowledge: Experience with customer service business processes and workflows, and the ability to integrate these customer processes with SAP and other applications to provide end‑to‑end solutions. Leadership and Project Management Skills: Project management skills, including implementation methods, developing project plans, managing resources, and ensuring that projects are delivered on time, within budget, and required quality standards. Deep Industry Knowledge: Foundation‑level industry knowledge in Energy and Utilities. AI and Cybersecurity Knowledge: Familiarity with Artificial Intelligence models, their use in SAP implementation and knowledge of cybersecurity and data privacy principles. Language Proficiency: Fluency in English. Eligibility and Equal Opportunity: As an equal opportunities' employer, we welcome applications from individuals of all backgrounds. However, to be eligible for this role, you must have the valid right to work in the United States. Preferred technical and professional experience Familiarity with other Business Processes and SAP Modules: SAP Industry for Utilities (ISU), SAP Analytics Cloud, Integration into client customer portals. Familiarity with Cloud Computing Platforms: Including IBM Cloud, AWS, Microsoft Azure, and GCP. Knowledge of Agile methodologies: Hands on experience with project management methodologies like Agile. IBM is committed to creating a diverse environment and is proud to be an equal‑opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $97k-129k yearly est. 4d ago
  • Senior Technical Product Marketing Manager II

    IBM Computing 4.7company rating

    San Jose, CA job

    Introduction A career in IBM Software means you'll be part of a team that transforms our customer's challenges into industry-leading solutions. We are an infinitely curious team, always seeking new possibilities, and dedicated to creating the world's leading AI-powered, cloud-native software solutions. Our renowned legacy creates endless global opportunities for our network of IBMers. We are a team of deep product experts, ensuring exceptional client experiences, with a focus on delivery, excellence, and obsession over customer outcomes. This position involves contributing to HashiCorp's offerings, now part of IBM, which empower organizations to automate and secure multi-cloud and hybrid environments. You will join a team managing the lifecycle of infrastructure and security, enhancing IBM's cloud solutions to ensure enterprises achieve efficiency, security, and scalability in their cloud journey. Your role and responsibilities About the Team About the Team The HashiCorp Portfolio and Platform Product Marketing Group at IBM is responsible for defining and communicating the value of our commercial offerings across multiple products and use cases. We translate complex technical capabilities into compelling narratives that resonate with technical decision makers, influencers, and practitioners. We build trusted relationships with our customers, enable internal field teams, and elevate HashiCorp's presence across campaigns, content, events, and digital experiences. About the Role About the Role We are looking for a hands‑on, technically curious, and creative Technical Product Marketing Manager (PMM) who brings a deep appreciation for both product and storytelling. You will work across teams to create high‑impact product narratives, memorable event demos, and differentiated content that showcases how HashiCorp helps organizations innovate faster, more securely, and more efficiently. This role is ideal for someone who loves translating technical value into compelling experiences, thrives on stage and in front of customers, and is energized by connecting product capabilities to business value. You'll support our go‑to‑market motion with new stories and messages delivered through various assets that highlight how our commercial products unlock accelerated and secure innovation that delivers operational excellence. Key Responsibilities Collaborate with product management, engineering, and solutions marketing teams to deeply understand product capabilities and roadmap. Create and deliver technical, demo‑rich experiences that support sellers, major events (e.g., HashiConf, AWS re:Invent, Microsoft Ignite), keynotes, and launches. Craft compelling technical narratives that align with HashiCorp's value pillars (accelerate innovation, strengthen security, and optimize operations & ROI). Partner with campaigns and content teams to produce engaging product demos, blogs, videos, and web content. Act as a public evangelist and storyteller-supporting keynote presentations, webinars, and other digital experiences. Build scalable demo assets and content that can be reused by field teams, partners, and in self‑service channels. Serve as a trusted voice for technical customers by helping translate feedback into messaging and product direction. Required technical and professional expertise 5+ years in product marketing, developer advocacy, solutions engineering, or related technical marketing roles. Experience building and presenting product demos for technical audiences in a B2B enterprise setting. Strong storytelling skills and an ability to communicate clearly across different technical and business personas. Creativity and comfort building technical narratives that span cloud infrastructure, security, and/or ENT enabling developer tools. Excellent presentation and writing skills and confidence representing the company at events, with customers, and online. Demonstrated ability to collaborate across product, engineering, campaigns, sales, and enablement teams. Proficiency with Office 365 tools, especially PowerPoint, and ability to craft compelling visual narratives and quality executive‑ready presentations. Proficiency with creating video narratives, storyboards, and leveraging video creation software to support both internal and external viewers. Preferred technical and professional experience Hands‑on experience with infrastructure‑as‑code, security automation, cloud platforms, or HashiCorp tools. Familiarity with developer workflows and CI/CD pipelines. Experience with ENT SaaS, Cloud Technologies, and CSPs A passion for technology and helping organizations mature and accelerate their operational and innovation capabilities by moving from individual tools to integrated solutions that empower users and platform teams while driving business growth. IBM is committed to creating a diverse environment and is proud to be an equal‑opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $114k-159k yearly est. 4d ago
  • Senior Managing Consultant SAP EAM Lead

    IBM Computing 4.7company rating

    San Francisco, CA job

    Introduction A career in IBM Consulting is rooted by long-term relationships and close collaboration with clients across the globe. You'll work with visionaries across multiple industries to improve the hybrid cloud and AI journey for the most innovative and valuable companies in the world. Your ability to accelerate impact and make meaningful change for your clients is enabled by our strategic partner ecosystem and our robust technology platforms across the IBM portfolio. Your role and responsibilities Lead and implement complex SAP EAM/PM projects within the utilities sector. Configure and provide hands‑on expertise in SAP S/4HANA EAM, Asset Intelligence Network (AIN), Asset Strategy & Performance Management (ASPM), and SAP Work Manager/Fiori. Develop strategies, roadmaps, and business cases for large‑scale SAP transformations. Conduct workshops and meetings with clients, influencing C‑suite executives and key stakeholders. Coordinate cross‑functional, distributed teams (onshore/offshore), ensuring alignment, effective communication, and timely delivery. Train, mentor, and support technical and business teams in SAP utilization and configuration. Ensure SAP solutions align with industry best practices and meet client requirements. Measure results, track KPIs, and report on the progress and benefits of SAP initiatives. Troubleshoot complex issues and provide strategic and technical guidance during implementations. Balance hands‑on execution with strategic leadership to ensure high‑quality and efficient delivery. This Job can be Performed from anywhere in the US. Required technical and professional expertise 10+ years of SAP consulting experience, with at least 6+ years in SAP EAM/Plant Maintenance. Strong knowledge of the utilities industry. Hands‑on expertise in SAP S/4HANA EAM, Asset Intelligence Network, Asset Strategy & Performance Management, and/or SAP Work Manager/Fiori. Proven track record leading large‑scale SAP transformations, including business case development and roadmap execution. Excellent client‑facing skills with the ability to influence C‑suite and senior stakeholders. Strong leadership skills in managing cross‑functional teams across onshore/offshore models. Preferred technical and professional experience Bachelor's degree required; Master's degree or MBA preferred. Team Leadership & Development. Business Development. IBM is committed to creating a diverse environment and is proud to be an equal‑opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $130k-168k yearly est. 4d ago
  • Lead Emulation Design Architect - High-Speed IP & AVIP

    Cadence Design Systems 4.7company rating

    Cadence Design Systems job in San Jose, CA

    A leading technology company is seeking a Senior Principal Emulation Design Engineer in San Jose. This role focuses on developing verification environments and requires strong experience with system-level designs and communication standards. Ideal candidates will possess a relevant degree and extensive experience in design. The position offers a competitive salary range from $154,000 to $286,000 along with numerous benefits. #J-18808-Ljbffr
    $154k-286k yearly 1d ago
  • Senior Support Engineer

    IBM Computing 4.7company rating

    San Francisco, CA job

    Introduction A career in IBM Software means you'll be part of a team that transforms our customer's challenges into solutions. Seeking new possibilities and always staying curious, we are a team dedicated to creating the world's leading AI-powered, cloud-native software solutions for our customers. Our renowned legacy creates endless global opportunities for our IBMers, so the door is always open for those who want to grow their career. IBM's product and technology landscape includes Research, Software, and Infrastructure. Entering this domain positions you at the heart of IBM, where growth and innovation thrive. Your role and responsibilities As a Senior Support Engineer, you will report to the Manager of Support Engineering and the Senior Support Engineer as a key member of the Global Support & Services organization and advocate for customer satisfaction and success. You will troubleshoot complex issues related to Vault and Vault Enterprise and work to find viable solutions while maintaining detailed communication with customers and responsiveness to their needs. You will contribute to product growth and development via weekly product meetings. You will also attend customer meetings as needed to help identify, debug and resolve the customer issue and will serve as a liaison between the customer and HashiCorp engineering. This will be a part of our Vault Support Engineering team. This is an exciting opportunity to join a small team and have a direct impact on HashiCorp's fast growing business. This highly visible position will be an integral part of both the support engineering and Vault Open Source/Enterprise teams. What you'll do: Reproduce and debug customer issues by building or using existing test environments and tools. Communicate tactfully with customers: gather info, provide diagnostic and resolution steps, convey process and product guidance Solve incoming technical support requests within SLA, including high-severity urgent cases. Continuously increase knowledge and help train the team on 3rd party technologies that integrate with Vault and on new Vault features. Identify and communicate product usage trends, bugs and feature requests at weekly product engineering meetings. Collaborate with account managers to schedule, coordinate, and lead customer debugging calls. Document and record all activity with customers in accordance to both internal and external security standards. Contribute to product documentation, customer knowledge base, and best practices guides. Continuously improve process and tools in collaboration with the team. Periodic on-call rotation for production-down issues. Provision and bootstrap a Vault cluster without assistance. Understanding of Vault/Vault Enterprise and the interaction with other products within the HashiCorp Product Suite. Begin preparation for the Vault Certification Exam Complete Vault Certification Exam. Within first 60 days: Ride along on 1-2 live customer debugging calls. Effectively triage and respond to Sev 3 & Sev 4 inquiries independently. Contribute 1 Support Knowledge Base article. Complete 10 Support Tickets with the guidance of more senior team members. Effectively triage customer support tickets and understand the difficulty of tickets being submitted Begin working Sev 2 tickets. Within first 90 days: Respond to Sev 1/production down issues with minimal assistance. Independently find points of error and identify root cause by examining log files. Create ongoing KB articles that will benefit all customers, 1 article per month. Meet performance goals set by management for ticket closure per month, SLA, and CSAT. Required technical and professional expertise Hands on experience in Support Engineering (or comparable customer-facing technical role), preferably for mission-critical software. Experience with scripting tool of choice to help automate reproduction environments (for example, Bash, Python). Experience with major cloud platforms, distributed systems, microservice architecture, and containers. Ability to read complex code for troubleshooting and familiarity with Github. Experience with REST APIs and command line tools. Preferred technical and professional experience Bachelor's degree in Computer Science or equivalent professional experience preferred DevOps Engineering, Software Engineering, and/or System Administration experience is a plus. Experience or knowledge with Vault; other HashiCorp tools is a plus. IBM is committed to creating a diverse environment and is proud to be an equal-opportunity employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin, caste, genetics, pregnancy, disability, neurodivergence, age, veteran status, or other characteristics. IBM is also committed to compliance with all fair employment practices regarding citizenship and immigration status. #J-18808-Ljbffr
    $89k-118k yearly est. 4d ago
  • Solutions Engineer - Cloud, DevOps & Value Realization

    IBM Computing 4.7company rating

    San Francisco, CA job

    A leading technology company seeks a Solutions Engineer to engage with customers and ensure their success with HashiCorp's products. You will partner with Sales executives and provide technical guidance throughout the customer journey. Ideal candidates will have 4+ years in technical sales, strong communication skills, and expertise in cloud technologies and DevOps practices. This position offers an opportunity to contribute to innovative cloud solutions. Domestic and occasional international travel is expected. #J-18808-Ljbffr
    $99k-136k yearly est. 4d ago
  • Lead DFT Design Engineer for SoC/ASIC

    Cadence Design Systems 4.7company rating

    Cadence Design Systems job in San Jose, CA

    A leading electronic design automation company in California seeks an experienced SoC/ASIC Digital Design Engineer with a strong focus on Design for Test (DFT) methodologies. The ideal candidate will have substantial expertise in scan chain insertion, compression scan technologies, and automatic test pattern generation (ATPG), along with strong problem-solving skills and the ability to work collaboratively in a cross-functional team environment. This is a fantastic opportunity to contribute to essential technology projects. #J-18808-Ljbffr
    $124k-165k yearly est. 3d ago

Learn more about Cadence Design Systems jobs

Most common locations at Cadence Design Systems